dr. H. Jiang

Postdoc
Electronic Instrumentation (EI), Department of Microelectronics

PhD thesis (Nov 2019): Capacitively-Coupled Bridge Readout Circuits
Promotor: Kofi Makinwa, Stoyan Nihtianov

Expertise: Energy-efficient analog circuits and low power sensor interface

Themes: Precision Analog

Biography

Hui Jiang was born in Heilongjiang, China. He received the B.S. degree in Mechanical and Automation Engineering from Dalian Jiaotong University, Dalian, China, in 2010 and the M.E. degree in integrated circuit engineering from Tsinghua University, Beijing, China, in 2013. He is currently working toward the Ph.D. degree at the Electronic Instrumentation Laboratory of Delft University of Technology, Delft, The Netherlands, where he works on the design of resonant sensor interface.

Projects history

Interfacing Resonant Sensors using Ringdown Measurement

The transient ring-down of resonant sensors is used to extract information about the sensor's resonance frequency and quality factor in a highly energy-efficient manner.

  1. A Compact 10-MHz RC Frequency Reference With a Versatile Temperature Compensation Scheme
    Pan, Sining; An, Xiaomeng; Yu, Zheru; Jiang, Hui; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    pp. 1-9, 2023. DOI: 10.1109/JSSC.2023.3322307

  2. A Self-Bias-Flip With Charge Recycle Interface Circuit With No External Energy Reservoir for Piezoelectric Energy Harvesting Array
    Li, Z.; Chen, Z.; Wang, J.; Wang, J.; Jiang, J.; Du, S.; Cheng, X.; Zeng, X.; Han, J.;
    IEEE Transactions on Power Electronics,
    Volume 38, Issue 9, pp. 11630-11641, 2023. DOI: 10.1109/TPEL.2023.3286399

  3. A Single-Stage Three-Mode Reconfigurable Regulating Rectifier for Wireless Power Transfer
    Liu, S.; Lu, T.; Tang, Z.; Chen, Z.; Jiang, J.; Zhao, B.; Du, S.;
    IEEE Transactions on Power Electronics,
    Volume 38, Issue 7, pp. 9195-9205, 2023. DOI: 10.1109/TPEL.2023.3262728

  4. A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer
    Lu, Tianqi; Chang, Zu-Yao; Jiang, Junmin; Makinwa, Kofi; Du, Sijun;
    In 2023 IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-2, 2023. DOI: 10.1109/CICC57935.2023.10121186

  5. A 0.01 mm2 10MHz RC Frequency Reference with a 1-Point On-Chip-Trimmed Inaccuracy of 0.28% from −45°C to 125°C in 0.18μm CMOS
    An, Xiaomeng; Pan, Sining; Jiang, Hui; Makinwa, Kofi A. A.;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 60-62, 2023. DOI: 10.1109/ISSCC42615.2023.10067530

  6. A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer
    Lu, T.; Chang, Z. Y.; Jiang, J.; Makinwa, K.; Du, S.;
    In 2023 IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-2, 2023. DOI: 10.1109/CICC57935.2023.10121186

  7. A 0.14mm2 16MHz CMOS RC Frequency Reference with a 1-Point Trimmed Inaccuracy of ±400ppm from -45°C to 85°C
    H. Jiang; S. Pan; Ç. Gürleyük; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9365795

  8. A 0.14mm2 16MHz CMOS RC Frequency Reference with a 1-Point Trimmed Inaccuracy of ±400ppm from -45°C to 85°C
    H. Jiang; S. Pan; Ç. Gürleyük; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 436-438, February 2021. DOI: 10.1109/ISSCC42613.2021.9365795

  9. A 117-dB In-Band CMRR 98.5-dB SNR Capacitance-to-Digital Converter for Sub-nm Displacement Sensing With an Electrically Floating Target
    Hui Jiang; Samira Amani; Johan G. Vogel; Saleh Heidary Shalmany; Stoyan Nihtianov;
    IEEE Solid-State Circuits Letters,
    Volume 3, pp. 9--12, 2020. DOI: 10.1109/lssc.2019.2952851

  10. An Energy-Efficient 3.7nV/√Hz Bridge-Readout IC with a Stable Bridge Offset Compensation Scheme
    H. Jiang; S. Nihtianov; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, pp. 856-864, 3 2019. DOI: 10.1109/JSSC.2018.2885556
    Abstract: ... This paper describes an energy-efficient bridge readout IC (ROIC), which consists of a capacitively coupled instrumentation amplifier (CCIA) that drives a continuous-time delta-sigma modulator (CTΔΣM). By exploiting the CCIA's ability to block dc common-mode voltages, the bridge's bias voltage may exceed the ROIC's supply voltage, allowing these voltages to be independently optimized. Since bridge output is typically much smaller than bridge offset, a digital to analog converter (DAC) is used to compensate this offset before amplification and thus increase the CCIA's useful dynamic range. Bridge loading is reduced by using a dual-path positive feedback scheme to boost the CCIA's input impedance. Furthermore, the CCIA's output is gated to avoid digitizing its output spikes, which would otherwise limit the ROIC's linearity and stability. The ROIC achieves an input-referred noise density of 3.7 nV/√Hz, a noise efficiency factor (NEF) of 5, and a power efficiency factor (PEF) of 44, which both represent the state of the art. A pressure sensing system, built with the ROIC and a differential pressure sensor (AC4010), achieves 10.1-mPa (1ιι) resolution in a 0.5-ms conversion time. The ROIC dissipates about 30% of the system's power dissipation and contributes about 6% of its noise power. To reduce the sensor's offset drift, a temperature compensation scheme based on an external reference resistor is used. After a two-point calibration, this scheme reduces bridge offset drift by 80× over a 50 °C range.

  11. A 15nW per Button Interference-Immune Readout IC for Capacitive Touch Sensors
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 54, pp. 1874-1882, 7 2019. DOI: 10.1109/JSSC.2019.2907041
    Abstract: ... This paper presents a readout IC that uses an asynchronous capacitance-to-digital-converter (CDC) to digitize the capacitance of a touch sensor. A power-efficient tracking algorithm ensures that the CDC consumes negligible power consumption in the absence of touch events. To facilitate its use in wake-on-touch applications, the CDC can be periodically triggered by a co-integrated ultra-low-power relaxation oscillator. At a 38-Hz scan rate, the readout IC consumes 15 nW per touch sensor, which is the lowest reported to date.

  12. A 15nW per Button Interference-Immune Readout IC for Capacitive Touch Sensors
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 54, Issue 7, pp. 1874-1882, 7 2019. DOI: 10.1109/JSSC.2019.2907041
    Abstract: ... This paper presents a readout IC that uses an asynchronous capacitance-to-digital-converter (CDC) to digitize the capacitance of a touch sensor. A power-efficient tracking algorithm ensures that the CDC consumes negligible power consumption in the absence of touch events. To facilitate its use in wake-on-touch applications, the CDC can be periodically triggered by a co-integrated ultra-low-power relaxation oscillator. At a 38-Hz scan rate, the readout IC consumes 15 nW per touch sensor, which is the lowest reported to date.

  13. An Energy-Efficient 3.7nV/√Hz Bridge-Readout IC with a Stable Bridge Offset Compensation Scheme
    H. Jiang; S. Nihtianov; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 3, pp. 856-864, 3 2019. DOI: 10.1109/JSSC.2018.2885556
    Abstract: ... This paper describes an energy-efficient bridge readout IC (ROIC), which consists of a capacitively coupled instrumentation amplifier (CCIA) that drives a continuous-time delta-sigma modulator (CTΔΣM). By exploiting the CCIA's ability to block dc common-mode voltages, the bridge's bias voltage may exceed the ROIC's supply voltage, allowing these voltages to be independently optimized. Since bridge output is typically much smaller than bridge offset, a digital to analog converter (DAC) is used to compensate this offset before amplification and thus increase the CCIA's useful dynamic range. Bridge loading is reduced by using a dual-path positive feedback scheme to boost the CCIA's input impedance. Furthermore, the CCIA's output is gated to avoid digitizing its output spikes, which would otherwise limit the ROIC's linearity and stability. The ROIC achieves an input-referred noise density of 3.7 nV/√Hz, a noise efficiency factor (NEF) of 5, and a power efficiency factor (PEF) of 44, which both represent the state of the art. A pressure sensing system, built with the ROIC and a differential pressure sensor (AC4010), achieves 10.1-mPa (1ιι) resolution in a 0.5-ms conversion time. The ROIC dissipates about 30% of the system's power dissipation and contributes about 6% of its noise power. To reduce the sensor's offset drift, a temperature compensation scheme based on an external reference resistor is used. After a two-point calibration, this scheme reduces bridge offset drift by 80× over a 50 °C range.

  14. An Energy-Efficient BJT-Based Temperaure-to-Digital Converter with ±0.13 °C (3σ) Inaccuracy from -40 to 125°C
    R.K. Kumar; H. Jiang; K. A.A. Makinwa;
    In Proc. IEEE Asian Solid-State Circuits Conference (ASSCC),
    11 2019.

  15. An Energy-Efficient BJT-Based Temperature-to-Digital Converter with ±0.13°C (3σ) Inaccuracy from -40 to 125°C
    Kumar, Rushil K.; Jiang, Hui; Makinwa, Kofi A. A.;
    In 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC),
    pp. 107-108, 2019. DOI: 10.1109/A-SSCC47793.2019.9056962

  16. Nano-Power Capacitance-to-Digital Converter
    S. Hussaini; H. Jiang; D. MacSweeney; K.A.A. Makinwa;
    Patent, 20190072597, 2019.

  17. A 4.5 nV/\sqrtHz Capacitively-Coupled Continuous-Time Sigma-Delta Modulator with an Energy-Efficient Chopping Scheme
    H. Jiang; C. Ligouras; S. Nihtianov; K.A.A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 1, pp. 18-21, 2018. DOI: 10.1109/LSSC.2018.2803447
    Abstract: ... When chopping is applied to a continuous-time sigmadelta modulator (CTΣΔM), quantization noise fold-back often occurs, leading to increased in-band noise. This can be prevented by employing a return-to-zero (RZ) digital-to-analog converter (RZ DAC) in the modulator's feedback path and arranging the chopping transitions to coincide with its RZ phases. In this letter, this technique has been extended and implemented in an energy-efficient CTΣΔM intended for the readout of Wheatstone bridge sensors. To achieve a wide common-mode input range, the modulator's summing node is implemented as an embedded capacitively coupled instrumentation amplifier which can be readily combined with a highly linear 1-bit capacitive RZ DAC. Measurements show that the proposed chopping scheme does not suffer from quantization noise fold-back and also allows a flexible choice of chopping frequency. When chopped at one-tenth of the sampling frequency, the modulator achieves 15 ppm INL, 4.5 nV/√Hz input-referred noise and a state-of-the-art noise efficiency factor of 6.1.

  18. MEMS for biofuel composition measurement based on thermal impedance spectroscopy
    Ghaderi, Mohammadamir; Jiang, Bo; Bossche, Andre; Visser, Jaco H; Wolffenbuttel, Reinoud F;
    Sensors and Actuators B: Chemical,
    Volume 277, pp. 281--288, December 2018. DOI: 10.1016/j.snb.2018.08.098
    Abstract: ... Continuous monitoring of the composition of E85 biofuel is essential for a quick start and clean and efficient operation of Flex-Fuel Vehicles. The actual ethanol concentration in E85 fuel is in the range 50%–85% and fuel-line sensors are used for ethanol-gasoline composition measurement. However, also a small amount of water is typically present, which cannot be reliably detected using state-of-the-art capacitive fuel-line sensors. Thermal impedance spectroscopy has been investigated as a non-destructive technique to determine the composition of ternary mixtures of biofuels. The principle of the thermal conductivity detector has been extended for measuring both the thermal conductivity and the thermal capacity of biofuel in the range up to 10 kHz using an AC-operated polysilicon heater for injecting a sinusoidal heat flux, and another polysilicon strip at a well-defined spacing or thermopile sensors for measuring the in-phase and quadrature components of the resulting AC temperature difference. Measurements on the components are in reasonable agreement with simulations, with a −3 dB cut-off frequency at 422.5 Hz and 340.8 Hz for ethanol and gasoline, respectively. However, the cut-off frequency of water was found to be significantly lower than simulations due to its high surface tension, thus limiting access to the detector.

  19. A 4.5 nV/\sqrtHz Capacitively-Coupled Continuous-Time Sigma-Delta Modulator with an Energy-Efficient Chopping Scheme
    H. Jiang; C. Ligouras; S. Nihtianov; K.A.A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 1, Issue 1, pp. 18-21, 2018. DOI: 10.1109/LSSC.2018.2803447
    Abstract: ... When chopping is applied to a continuous-time sigmadelta modulator (CTΣΔM), quantization noise fold-back often occurs, leading to increased in-band noise. This can be prevented by employing a return-to-zero (RZ) digital-to-analog converter (RZ DAC) in the modulator's feedback path and arranging the chopping transitions to coincide with its RZ phases. In this letter, this technique has been extended and implemented in an energy-efficient CTΣΔM intended for the readout of Wheatstone bridge sensors. To achieve a wide common-mode input range, the modulator's summing node is implemented as an embedded capacitively coupled instrumentation amplifier which can be readily combined with a highly linear 1-bit capacitive RZ DAC. Measurements show that the proposed chopping scheme does not suffer from quantization noise fold-back and also allows a flexible choice of chopping frequency. When chopped at one-tenth of the sampling frequency, the modulator achieves 15 ppm INL, 4.5 nV/√Hz input-referred noise and a state-of-the-art noise efficiency factor of 6.1.

  20. Energy-efficient bridge-to-digital converters
    H. Jiang; K.A.A. Makinwa;
    In Proc. IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-7, 4 2018. DOI: 10.1109/CICC.2018.8357027

  21. A 15nW Per Button Noise-Immune Readout IC for Capacitive Touch Sensor
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    2018. DOI: 10.1109/ESSCIRC.2018.8494283

  22. A 117DB in-Band CMRR 98.5DB SNR Capacitance-to-Digital Converter for Sub-NM Displacement Sensing with an Electrically Floating Target
    H. Jiang; S. Amani; J. G. Vogel; S. H. Shalmany; S. Nihtianov;
    In 2018 IEEE Symposium on VLSI Circuits,
    pp. 159-160, June 2018. DOI: 10.1109/VLSIC.2018.8502363
    Keywords: ... analogue-digital conversion;CMOS integrated circuits;displacement measurement;nanosensors;high-performance capacitance-to-digital converter;in-band common-mode rejection ratio;decent electric field interference immunity;displacement sensor probe;CDC;electrically floating target;sub-nm displacement sensing;power 560.0 muW;time 1.0 ms;frequency 1.0 kHz;Sensors;Electrodes;Interference;Energy efficiency;Electric fields;Capacitors;Signal to noise ratio.

  23. A 15nW Per Button Noise-Immune Readout IC for Capacitive Touch Sensor
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    pp. 190-193, 2018. DOI: 10.1109/ESSCIRC.2018.8494283

  24. Energy-efficient bridge-to-digital converters
    H. Jiang; K.A.A. Makinwa;
    In Proc. IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-7, 4 2018. DOI: 10.1109/CICC.2018.8357027

  25. A Hybrid Multi-Path CMOS Magnetic Sensor with 76 ppm/˚C Sensitivity Drift and Discrete-Time Ripple Reduction Loops
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, pp. 1876 - 1884, 7 2017. DOI: 10.1109/jssc.2017.2685462

  26. Multipath Wide-Bandwidth CMOS Magnetic Sensors
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, pp. 198-209, 1 2017. DOI: 10.1109/jssc.2016.2619711

  27. A Power-Efficient Readout for Wheatstone-Bridge Sensors With COTS Components
    H. Jiang; J. G. Vogel; S. Nihtianov;
    IEEE Sensors Journal,
    Volume 17, Issue 21, pp. 6986-6994, Nov 2017. DOI: 10.1109/JSEN.2017.2755074
    Keywords: ... bridge circuits;convertors;differential amplifiers;microsensors;operational amplifiers;piezoresistive devices;pressure measurement;pressure sensors;readout electronics;sigma-delta modulation;COTS component;CTΔΣM;MEMS piezoresistive differential pressure sensor;Wheatstone-bridge sensor;direct digital converter;off-the-shelf component;operational amplifier;power 7.58 mW;power 9.55 mW;power-efficient readout;pressure 12.7 mPa;resistance 0.41 mohm;second-order continuous-time sigma-delta modulator;time 0.5 ms;voltage 5 V;Bridge circuits;Clocks;Energy efficiency;Modulation;Radio frequency;Sensors;Topology;Direct digital converter;bridge sensor readout;continuous-time sigma-delta modulator;mPa-level differential pressure sensing.

    Abstract: ... This paper presents a direct digital converter for Wheatstone bridge sensors, which is realized with commercial off-the-shelf components. The power efficiency of the readout is enhanced by embedding the bridge sensor in a second-order continuous-time sigma-delta modulator (CTDeltaSigmaM). By directly digitizing the output signal of a Wheatstone bridge in the current mode, the noise performance is dominated by the operational amplifier in the first integrator and the bridge sensor. To demonstrate the performance of the proposed circuit, an MEMS piezoresistive differential pressure sensor is used. Measurement results show that a resolution of 12.7 mParms (0.41 mOhmrms), with a 0.5-ms conversion time, can be achieved. Powered by 5 V, the circuit and the bridge sensor draw 9.55 and 7.58 mW, respectively.

  28. A Hybrid Multi-Path CMOS Magnetic Sensor with 76 ppm/˚C Sensitivity Drift and Discrete-Time Ripple Reduction Loops
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 7, pp. 1876 - 1884, 7 2017. DOI: 10.1109/jssc.2017.2685462

  29. Multipath Wide-Bandwidth CMOS Magnetic Sensors
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 1, pp. 198-209, 1 2017. DOI: 10.1109/jssc.2016.2619711

  30. An Energy-Efficient Readout Method for Piezoresistive Differential Pressure Sensors
    H. Jiang; K.A.A. Makinwa; S. Nihitanov;
    In Annual Conference of the IEEE Industrial Electronics Society (IES) 2017: 43rd,
    2017.

  31. Microstructure for Thermal Impedance Spectroscopy for Biofuel Composition Measurement
    B. Jiang; M. Ghaderi; A. Bossche; J.H. Visser; R.F. Wolffenbuttel;
    In Multidisciplinary Digital Publishing Institute Proceedings,
    pp. 396, 2017. DOI: 10.3390/proceedings1040396
    Abstract: ... Thermal impedance spectroscopy has been investigated as a non-destructive technique to determine the composition of ternary mixtures of biofuels. The principle of the thermal conductivity detector has been extended for measuring both the thermal conductivity and the thermal capacity of biofuel in the range between 1 to 100 Hz, using an AC-operated polysilicon heater for injecting a sinusoidal heat flux, and another polysilicon strip at a well-defined spacing or thermopile sensors for measuring the in-phase and quadrature components of the resulting AC temperature difference.

  32. A CMOS Temperature Sensor with a 49fJ·K2 Resolution FoM
    S. Pan; H. Jiang; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    6 2017. DOI: 10.23919/vlsic.2017.8008557

  33. An energy-efficient 3.7nV/ sqrtHz bridge-readout IC with a stable bridge offset compensation scheme
    H. Jiang; K. A. A. Makinwa; S. Nihtianov;
    In Proc. IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 172-173, Feb 2017. DOI: 10.1109/ISSCC.2017.7870316

  34. An Energy-Efficient Readout Method for Piezoresistive Differential Pressure Sensors
    H. Jiang; Kofi A. A. Makinwa; S. Nihtianov;
    In Proc. IEEE Industrial Electronics Conference (IECON), Beijing,
    Nov. 2017.

  35. Chopping in Continuous-Time Sigma-Delta Modulators
    H. Jiang; B. Gonen; K.A.A. Makinwa; S. Nihtianov;
    In IEEE International Symposium on Circuits and Systems (ISCAS),
    June 2017. DOI: 10.1109/iscas.2017.8050951

  36. An energy-efficient readout method for piezoresistive differential pressure sensors
    Jiang, Hui; Makinwa, Kofi A. A.; Nihitanov, Stoyan;
    In IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society,
    pp. 4287-4291, 2017. DOI: 10.1109/IECON.2017.8216736

  37. A CMOS Temperature Sensor with a 49fJ·K2 Resolution FoM
    S. Pan; H. Jiang; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. C82-C83, 6 2017. DOI: 10.23919/vlsic.2017.8008557

  38. Chopping in Continuous-Time Sigma-Delta Modulators
    H. Jiang; B. Gonen; K.A.A. Makinwa; S. Nihtianov;
    In IEEE International Symposium on Circuits and Systems (ISCAS),
    pp. 1-4, June 2017. DOI: 10.1109/iscas.2017.8050951

  39. An energy-efficient 3.7nV/ sqrtHz bridge-readout IC with a stable bridge offset compensation scheme
    H. Jiang; K. A. A. Makinwa; S. Nihtianov;
    In Proc. IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 172-173, Feb 2017. DOI: 10.1109/ISSCC.2017.7870316

  40. A 30-ppm <80 nJ Ring-Down-Based Readout Circuit for Resonant Sensors
    H. Jiang; Z. Y. Chang; M. A. P. Pertijs;
    IEEE Journal of Solid-State Circuits,
    Volume 51, Issue 1, pp. 187‒195, January 2016. DOI: 10.1109/JSSC.2015.2470552
    Abstract: ... This paper presents an energy-efficient readout circuit for micro-machined resonant sensors. It operates by briefly exciting the sensor at a frequency close to its resonance frequency, after which resonance frequency and quality factor are determined from a single ring-down transient. The circuit employs an inverter-based trans-impedance amplifier to sense the ring-down current, with a programmable feedback network to enable the readout of different resonant sensors. An inverter-based comparator with dynamically-adjusted threshold levels tracks the ring-down envelope to measure quality factor, and detects zero crossings to measure resonance frequency. The excitation frequency is dynamically adjusted to accommodate large resonance frequency shifts. Experimental results obtained with a prototype fabricated in 0.35 μm standard CMOS technology and three different SiN resonators are in good agreement with conventional impedance analysis. The prototype achieves a frequency resolution better than 30 ppm while consuming less than 80 nJ/meas from a 1.8 V supply, which is 7.8x less than the state-of-the-art.

  41. A Hybrid Multi-path CMOS Magnetic Sensor with 210µTrms Resolution and 3MHz Bandwidth for Contactless Current Sensing
    J. Jiang; K.A.A. Makinwa;
    In 2016 IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 204-205, Feb 2016. DOI: 10.1109/ISSCC.2016.7417978

  42. A hybrid multi-path CMOS magnetic sensor with 76 ppm/°C sensitivity drift
    J. Jiang; K.A.A. Makinwa;
    In European Solid-State Circuits Conference, ESSCIRC Conference 2016: 42nd,
    IEEE, pp. 397-400, Sep 2016. DOI: 10.1109/esscirc.2016.7598325

  43. A multi-path CMOS Hall sensor with integrated ripple reduction loops
    J. Jiang; K.A.A. Makinwa;
    In F Zhang (Ed.), Proceedings of the IEEE Asian Solid-State Circuits Conference,
    IEEE, pp. 1-4, 2015. harvest.

  44. A 30ppm <80nJ ring-down-based readout circuit for resonant sensors
    H. Jiang; Z. Y. Chang; M. Pertijs;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 482‒483, February 2015. DOI: 10.1109/ISSCC.2015.7063136
    Abstract: ... A readout circuit for MEMS resonant sensors, realized in 0.35μm CMOS, employs a dynamically-switching level-crossing detector to determine resonance frequency and quality factor from a single ring-down transient. Results obtained with three different resonators are in good agreement conventional impedance analysis. The circuit achieves a frequency resolution better than 30 ppm while consuming less than 80 nJ/meas from a 1.8V supply, 7.8x less than the state-of-the-art.

  45. A continuous-time ripple reduction technique for spinning-current Hall sensors
    J. Jiang; W.J. Kindt; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 49, Issue 7, pp. 1525-1534, 2014. Harvest.

  46. An energy-efficient reconfigurable readout circuit for resonant sensors based on ring-down measurement
    Y. Yan; Z. Zeng; C. Chen; H. Jiang; Z. Y. Chang; D. M. Karabacak; M. A. P. Pertijs;
    In Proc. IEEE Sensors Conference,
    IEEE, pp. 221‒224, October 2014. DOI: 10.1109/icsens.2014.6984973

  47. A continuous-time ripple reduction technique for spinning-current Hall sensors
    J. Jiang; K.A.A. Makinwa; W.J. Kindt;
    In S. Rusu; Y. Deval (Ed.), Proceedings 39th European Solid-State Circuits Conference,
    IEEE, pp. 217-220, 2013. Harvest.

  48. Abstracting synchronization process in workflow involving partial synchronization pattern
    F. Hu; J. Jiang; L. Ma; L. Liu;
    Applied Mechanics and Materials,
    Volume 182-183, pp. 1781-1785, 2012. Harvest Betreft: 2012 International Applied Mechanics, Mechatronics Automation Symposium (IAMMAS 2012); Shenyang, Liaoning.

  49. Generating document tree of workflow with synchronization process
    F. Hu; J. Jiang; W. Qin; Y. Li;
    Applied Mechanics and Materials,
    Volume 182-183, pp. 1766-1770, 2012. Harvest Betreft: 2012 International Applied Mechanics, Mechatronics Automation Symposium (IAMMAS 2012); Shenyang, Liaoning.

BibTeX support

Last updated: 3 Jan 2022

Hui Jiang

Alumnus
  • Left in 2022

MSc students